PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Polymer end groups could affect the sensitivity of chemical amplification resist systems based on acid catalysis in a fashion completely different from the conventional resist systems. Their acidolysis susceptibility could depend on the initiator employed in polymerization, which is illustrated by two examples in this paper. When (alpha) ,(alpha) -azobis(isobutyronitrile) is used as the radical polymerization initiator, PBOCST with a lower molecular weight provides a less sensitive tBOC resist than a higher molecular weight polymer, which is due to the poisoning effect of the CN group attached to the polymer end. Low molecular weight PBOCST's were prepared also with benzoyl peroxide and via living anionic polymerization to confirm the end group effect on the tBOC acidolysis. In contrast, there are cases where certain end groups could provide reaction sites to photochemically generated acids. One such example is poly(hydroxy-(alpha) -methylstyrene) (PHOMS). The (rho) -PHOMS prepared by heating the tBOC-protected polymer (made by cationic polymerization) undergoes extremely efficient acid-catalyzed depolymerization. In contrast, the (rho) -PHOMS made by desilylation of anionically obtained silyl-protected polymer is very inert to such acidolysis. The high sensitivity of the cationic (rho) -PHOMS is due to the presence of end groups that are introduced during accidental and/or intentional termination and that are very reactive toward acids.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports the initial results of an improved chemically amplified, positive-tone photoresist for use in DUV applications. This photoresist is shown to have the following properties: low absorbance at 248 nm (0.22/micrometers ), high resolution (0.35 micrometers lines and spaces in 1.0 micrometers thick resist), and good environmental stability. The resist did not show evidence of `T-tops' nor did any linewidth change occur over a five hour period.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Many chemically amplified resists based on acid catalysis exhibit extreme sensitivity to trace quantities of airborne organic contaminants. This sensitivity is manifested as a rapid degradation in lithographic properties upon standing in clean room air. In this work we have studied the absorption of one such airborne contaminant, N-methylpyrollidone (NMP), by thin polymer films. NMP labeled with radioactive 14C was introduced at a concentration of 10 ppb into a stream of purified air, and the film of interest was immersed in that airstream for a predetermined time under controlled conditions. This method provides an ideal means for determining rates of NMP uptake, correlating resist lithographic performance with absorbed NMP content, and for examining the effects of film thickness and composition on rates of absorption.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The present paper gives a first introduction into the resist scheme and the chemistry of a newly developed acetal-based, positive tone deep UV photoresist, called RS 1594/E. The material consists of a phenolic polymer, a dissolution inhibitor, and a photoactive compound. The dissolution inhibition of the polymer is achieved using a poly-N,O-acetal, which undergoes an efficient acid catalyzed bond cleavage. The radiation induced catalytic reaction starts at ambient temperatures and the latent image stabilizes in a dark reaction. The process is completed by the application of a post exposure bake resulting in the formation of highly soluble dissolution promoting fragments, namely aldehyde and alcohol derivatives. The properties of the main resist components have been studied in detail and their influence on the lithographic performance is discussed. In addition, new critical process parameters have been identified and their impact on the lithographic performance of RS 1594/E was analyzed. The dissolution rate ratio between exposed and unexposed resist areas, the post exposure bake temperature, and the delay time between exposure and post exposure bake were determined as the most significant parameters and are discussed in more detail. Prolonged intervals are found to be mainly responsible for the increased formation of an insoluble surface inhibition layer (`T-topping') and a significant linewidth decrease. Compared to state-of-the-art materials, RS 1594/E shows a noticeable improvement in delay time behavior. Even after 60 minutes delay between exposure and PEB acceptable results may be achieved without any formation of `T- shaped' profiles, and a nearly constant linewidth. The surface inhibition effect is markedly reduced by the use of certain organic additives and an optimized photoacid generator. SEM pictures of 0.24 micrometers , 0.26 micrometers , and 0.28 micrometers line and space patterns demonstrate the excellent resolution capability of RS 1594/E.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we present our approaches to solve the delay problem, i.e., the formation of T- tops during the delay time between exposure and post-exposure bake. We investigated the distribution of the photoacid generator in the resist layer and the influence of basic contaminations in the formulation. T-topped patterns can be avoided by using appropriate developers. The incorporation of additives make the resist less sensitive to basic contaminations. Some formulations show no T-top formation under severe conditions for a considerable amount of time.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A chemically amplified silicone-based negative resist, CSNR, composed of an alkali-soluble silicone polymer (ASSP) and an acid generator has been developed for two-layer resist systems. ASSP is obtained by a sol-gel reaction of organotrimethoxysilane and has numerous silanol groups that make it alkali-soluble. The resist chemistry of CSNR is based on the acid- catalyzed condensation of the silanol groups during post-exposure bake (PEB). A 0.5 micrometers - thick CSNR is used as the top imaging layer. The sensitivity (D50) is 30 mJ/cm2 and the (gamma) value is 4. A linear relationship between mask and resist pattern linewidths is maintained down to 0.25 micrometers line and space (l&s) patterns with steep profiles. The CSNR includes silicon atoms in such abundance that it exhibits high resistance to oxygen reactive ion etching (RIE). Using oxygen RIE, two-layer resist processing can be easily accomplished down to 0.22 micrometers l&s patterns with vertical walls.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We designed a new chemical amplification resist for ArF and KrF excimer lithography. The resist comprises alicyclic the copolymer of adamantylmethacrylate and tert-butylmethacrylate, with triphenylsulfonium hexafluoroantimonate as a photo acid generator. This resist is highly transparent at KrF and ArF wavelengths because it has no aromatic and its dry etch resistance is comparable to that of a Novolac resist. The lithographic performance of this resist was evaluated using a KrF excimer laser stepper. A less than 0.5 micron line and space pattern profile was obtained with our resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Shannon's information theory is adapted to analyze the photolithographic process, defining the mask pattern as the prior state. Definitions and constraints to the general theory are developed so that the information content at various stages of the lithographic process can be described. Its application is illustrated by exploring the information content within projected aerial images and resultant latent images. Next, a 3-dimensional molecular scale model of exposure, acid diffusion, and catalytic crosslinking in acid-hardened resists (AHR) is presented. In this model, initial positions of photogenerated acids are determined by probability functions generated from the aerial images and the local light intensity in the film. In order to simulate post-exposure baking processes, acids are diffused in a random walk manner, for which the catalytic chain length and the average distance between crosslinks can be set. Crosslink locations are defined in terms of the topologically minimized number required to link different chains. The size and location of polymer chains involved in a larger scale crosslinked network is established and related to polymer solubility. In this manner, the nature of the crosslinked latent image can be established. Good correlation with experimental data is found for the calculated percent insolubilization as a function of dose when the rms acid diffusion length is about 500 angstroms. Information analysis is applied in detail to the specific example of AHR chemistry. The information contained within the 3-D crosslinked latent image is explored as a function of exposure dose, catalytic chain length, average distance between crosslinks. Eopt (the exposure dose which optimizes the information contained within the latent image) was found to vary with catalytic chain length in a manner similar to that observed experimentally in a plot of E90 versus post-exposure bake time. Surprisingly, the information content of the crosslinked latent image remains high even when rms diffusion lengths are as long as 1500 angstroms. The information content of a standing wave is shown to decrease with increasing diffusion length, with essentially all standing wave information being lost at diffusion lengths greater than 450 angstroms. A unique mechanism for self-contrast enhancement and high resolution in AHR resist is proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A sensitive, dual-tone, chemically amplified deep-UV and electron-beam resist system has been studied. The resist is composed of tert-butoxycarbonylated novolac (tBOC-N) and a photoacid generator (PAG). Preferably, the matrix polymer is synthesized from novolacs, from which the low molecular weight fraction has been removed by fractionation or extraction. The polymer is highly transparent at (lambda) > 240 nm (OD approximately equals 0.15/micrometers ) and is thermally stable up to ca. 170 - 180 degree(s)C. While the deblocked polymer remains insoluble in aqueous bases, it can be developed in lower alcohols. The dual- tone resist exhibits sensitivity of < 5 mJ/cm2 at 254 nm and < 3 (mu) C/cm2 at 50 kV. Very high resolution, negative-tone structures were defined in this resist by electron- beam lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports on a novel three-component chemical amplification positive resist system for EB lithography composed of a novolak resin, an acid generator, and a newly synthesized dissolution inhibitor. We synthesized a novel dissolution inhibitor named CP-TBOC (1), which contains a tert-butoxycarbonyl (t-BOC) group and a lactone ring, to obtain resist materials with high sensitivity and high contrast. The t-BOC group of this dissolution inhibitor effectively decomposed by an acid catalyzed thermal reaction as the other conventional dissolution inhibitors. In addition to this decomposition, the lactone ring of the decomposed product was spontaneously cleft in an aqueous base to generate carboxylic acid, further enhancing the solubility to alkaline developers. The subsequent cleavage in an aqueous developer was investigated by UV-visible spectroscopy. The highest EB sensitivity was obtained at a CP-TBOC concentration of approximately 4.7 X 10-4 mol/g.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dissolution of novolak and other phenolic resins in aqueous alkali is controlled by the diffusion of developer base in the solid matrix. The base penetrates the resin by a series of transfers or jumps from one hydrophilic (phenol/phenolate) site to the next and requires an unbroken sequence of sites in the diffusional pathway. This view brings novolak dissolution into the realm of percolation theory, which predicts for it a scaling law of the form: R equals constant (p - pc)n. R is the dissolution rate, the percolation parameter p measures the fraction of occupied percolation cells, and pc is a percolation threshold. The scaling law of percolative dissolution was tested and confirmed on a group of partially methylated poly(vinylphenol) resins. Inhibitors affect the percolation process by blocking some of the hydrophilic sites and effectively removing them from the percolation field. This is equivalent to lowering the value of p and leads to a decrease in the dissolution rate. Theory predicts a relation between the inhibition effect and the derivative dlogR/dp, and that too has been confirmed by experiment. The percolation model thus provides a consistent and unified interpretation of the functional mechanism of positive resists based on dissolution inhibition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The inhibition mechanism in diazonaphthoquinone positive resists has been widely studied. The ability of the photoactive compound to retard the dissolution of the matrix resin has been attributed to both chemical interactions between resist components as well as spatial distribution of sensitizer in a resist film. Our work has focused on understanding the physical distribution of the photoactive compound on the development behavior of this class of positive resists. We have used Langmuir-Blodgett deposition to fabricate resist structures with highly stratified sensitizer distributions. Dissolution measurements using a quartz crystal microbalance have demonstrated that preferential placement of very thin layers of sensitizer both at the surface of a resist film and embedded between two layers of polymeric resin can significantly affect development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Steric hindrance on a ballast molecule causes selective esterification of -OH groups and provides novel di-esterified PACs having -OH group(s) with high selectivity. These novel PACs give photoresists higher sensitivities, (gamma) -values and resolutions, than those of fully esterified PACs. Also, these novel PACs provide scum-free patterns. Comparison of dissolution properties of a novel di-esterified PAC having a sterically hindered -OH with those of a di-esterified PAC suggests that the presence of -OH enhances dissolution rates, but it does not change the slope of logR-logE plots. DRM's agitated development reduces a large (gamma) -value of a novel PAC observed in puddle development. On the other hand, (gamma) -values of fully esterified PACs were not affected by the change of development methods. This observation suggests significance of (gamma) - value evaluation by puddle development for the investigation of high performance PACs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, diazo derivatives having various ballasts are newly evaluated as the sensitizers for i-line resist system. The selection of the ballast of the sensitizer can be executed on the basis of Dill's A parameter. The derivation of a mathematical expression, which is usable for the optimization of the quantity of a sensitizer in resist system, is also described. The experimentally obtained optimal value is confirmed to show a good fit with the value given by the expression.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DNQ-PACs with varying number of OH groups unesterified were examined for their imaging performance in novolac-based positive resists by means of a dissolution rate study. PACs generally lost dissolution inhibition with increasing numbers of the unesterified OH groups when compared to fully esterified PACs, whereas certain particular PACs still retained strong inhibition even when an OH was left unesterified. Such PACs lost inhibition quickly when one or more OH was left unesterified and gave a large dissolution discrimination upon exposure that resulted in high resolution of the resist. High hydrophobicity and remote DNQ configuration of the PAC molecule, and probably the steric crowding around the OH group appear to be structural requirements for obtaining such a high performance PAC. The PACs also provided an advantage in good solubility to resist solvent, and can be practically made by a proposed selective DNQ esterification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Liquid phase silylation is presented in this paper as a technique with improved silylation and dry development selectivity and hence improved process windows. Using this technique, the requirements imposed on silylation and dry development equipment are relaxed. The diffusion enhanced silylated resist process (DESIRE) has been presented as an attractive solution to overcome the inherent limitations of conventional wet develop lithography, such as the control of critical dimensions over highly reflective topography. Traditionally, the silylation process has been carried out using hexamethyl disilazane (HMDS), although lately, alternative gaseous agents such as tetramethyl disilazane (TMDS) have begun to exhibit certain advantages. The liquid phase silylation process simplifies the process and equipment requirements, and results in improved silylation selectivity. Liquid silylation has been found to be useful both for i-line and DUV exposures. The influences of resist and silylation solution composition have been investigated. Characterization of the silylation reaction and mechanism have been performed using thickness measurements, Fourier transform infrared spectrometry (FTIR), and Rutherford backscattering spectroscopy (RBS). Surface imaging based on liquid phase silylation has also been evaluated using phase shifting masks. The influence of partial coherence on the resolution and process latitudes using both conventional transmission masks and phase shifting masks have additionally been studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper attempts to investigate the possible degradation of the ester formed in PLASMASK 200G photoresist during extended silylation times with HMDS (hexamethyldisilazane). The breakdown of the ester results in the formation of a phenolic moiety and an amide. This may lead to silylation of this newly released phenolic OH-group in the unexposed regions, and hence a decrease in the contrast might occur. This would have a detrimental effect on critical dimension (CD) control. It was found that under higher ammonia concentrations, an ester degrades to release a phenolic group and also an amide. The silylation times involved are much greater than that used in the DESIRE process, and as such degradation of the ester in the DESIRE process is itself quite minimal. It is, however, suggested that a silylating agent that does not form a base on cleavage be used instead to reduce the degradation effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A negative-tone surface-imaged resist process based upon the area-selective oxidation of silicon-backbone polymers is described. A bromine-based plasma is the resist developer, where the oxidized polymer inhibits the bromine-initiated etching to yield a negative-tone image. Using either polysilanes or polysilynes, resist sensitivities in the range of 50 mJ/cm2 have been obtained and resolutions to 0.2 micrometers achieved. Photosensitizers can be added to further accelerate the photo-oxidation, resulting in sensitivities less than 20 mJ/cm2. The latent image formation is reciprocal with respect to fluence in the range 0.05 to 1.5 mJ/cm2 per pulse and with respect to repetition rate. The photo-oxidation contrast is one, whereas the bromine-based etch step can have a contrast as high as 5. In addition, the exposure, focus, and development latitudes have all been characterized and compared to other surface-imaged 193 nm resist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Depth of focus is known to decrease with short wavelengths of light or high numerical aperture lenses. With the advent of short wavelength ultraviolet sources (DUV - 248 nm, 213 nm & 193 nm), and high numerical aperture lenses (NA > .40), the depth of focus for imaging sub-micron features in single layer photoresist becomes an area of concern. Surface imaging resist (SIR), or top surface imaging (TSI) has been proposed as the solution to the diminishing depth of focus for lithography of single layer photoresists. The most commonly known form this technology has taken is the silylation of organic photoresists following exposure to an appropriate illuminating source. This technology has drawbacks in that the resulting silylated resist is not too much different chemically from many of the inorganic substrates that one wishes to pattern. This limits its applicability in use with fluorine containing plasmas. It also creates a film that is difficult to remove after the pattern transfer is accomplished. A developmental type of surface imaging system is currently being evaluated for its applicability as a photolithographical resist. MIMMITM (micro imaging with metal mask integration) uses an ultrathin metallic layer over conventionally applied and exposed photoresist. The film is shallowly developed and metallization is electrolessly applied to the remaining pattern. The metal pattern is further dry developed to complete its structure for image transfer. The metallized pattern is impervious to all commonly used plasma etch environments and is easily stripped in dilute acid and common organic solvents.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advantages of surface-imaging photoresist processes have been well documented in the literature: greater resolution, wider focus budget, and less sensitivity to topography and reflections from the substrate. The diffusion enhanced silylated resist (DESIRE) is a good example of a surface-imaging process. In previous papers we have presented characterization data for this process, and discussed some of the issues involved in its implementation in a manufacturing environment. More recently we have reviewed integration issues evolving from the interactions between the equipment, materials, and process. In this paper we continue our discussion of process integration issues focusing on: (1) electrical discharge, or arcing, during dry-development, and (2) line-width uniformity. Arcing is a very serious problem because of its catastrophic effect on yield. Thus far we have determined that the substrate and equipment influence the occurrence of arching, but further work remains to be done to determine all the possible causes. In previous papers we showed that the linewidth can be controlled across the wafer, and from wafer-to-wafer. By optimizing the magnetic field uniformity in our initial process set up, we were able to control the linewidth to within +/- 8% for 0.63 micrometers lines. However, to maintain this control over time, modifications in the silylation reactor had to be made. Additional improvements in the linewidth uniformity require us to go back and make modifications to the dry-develop reactor to improve the magnetic field uniformity further. These two examples illustrate the need to take a comprehensive or process integration approach to successfully implement this process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Effective parameters of a DESIRE process on lithographic performances were studied. It is known that the silylation profile affects a resist pattern profile after development. Here, the relation between silylation profile and resist performances such as depth of focus (DOF), pattern fidelity, and resolution limit were investigated through functional analysis of exposure, cross-linking, and silylation itself. Based on this, new excimer resists were developed and remarkable large DOF and high resolution were realized. In this paper, we describe a total scheme of silylation and resist performance of novel PLASMASK resist at sub-half to quarter micron rule pattern by using the newest lithographic tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dry development of Plasmask 301-U resist was investigated using O2 plasmas generated in an experimental electron cyclotron resonance reactor. An rf source operating at 13.5 MHz was used to independently bias the wafer holder. The dc component of the rf bias was used as a rough indication of the bombardment energy of ions incident on the wafer surface. The ECR plasma source was characterized using Langmuir probes and optical emission spectroscopy. Etch rates were measured as a function of reactor pressure, O2 flow rate, wafer bias, and wafer distance from the ECR source chamber. Etch rates correlated most closely with ion current density over the range of variables studied. Etched profiles generated at different wafer positions, rf biasing conditions, oxygen gas flow rates, and gas pressures were investigated using scanning electron microscopy. The anisotropy of etched profiles improved with increasing rf bias and decreasing pressure. At very low oxygen flow rates, mask formation was inhibited; at high rf biases, mask sputtering was enhanced. Development of high resolution anisotropic profiles depended on a fine balance between silylation temperature, wafer bias, and etch pressure. Anisotropic, well-defined profiles of 0.30 micrometers lines and spaces were obtained at 0.5 m Torr and an applied bias of -60 volts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Patterns of different electrical conductivity can be converted into three-dimensional lithographic structures taking advantage of differential etching behavior of the electrically conducting versus the insulating areas under reactive ion etching conditions. Particularly suited substrates are intrinsically conducting polymers and composites thereof with common, insulating polymers. Initially, electrically conducting images are obtained via exposure of a photosensitive composite to visible of UV-light, followed by a subsequent (`dry') development step in the vapor of a suited monomer. The electrically conducting areas experience RIE-type etching and thus etch faster in an oxygen plasma than the insulating sections.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced Resist Materials/Process Modeling and Characterization
Starting from general arguments on hexafluoroacetone chemistry, an exploratory investigation of the utility of this new type of resist chemistry is presented. The 2- hydroxyhexafluoroisopropyl-group (HHFIP) proves to be comparable to phenolic groups in respect to acidity and reactivity. Polymers containing HHFIP-moieties are high transparent alkali-soluble binder materials for functional group deprotection type, dissolution inhibition type, and crosslinking type photo resist materials. Dissolution inhibitors containing the HHFIP-function show superior inhibition properties due to strong hydrogen bond interaction with the matrix polymer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The need for higher resolution is a continuing driving force in the development of new lithographic materials. In this paper we discuss a new high speed, high resolution negative photoresist based on acid catalyzed epoxy polymerization. These materials are copolymers of two monomers that each provide a separate function in the photoresist. This combination provides a unique new material with aqueous processability in metal ion-free developers and high sensitivity to photogenerated triflic acid. Imaging characteristics in electron beam and i- line exposure systems are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV lithography at 248 nm has shown resolution down to 0.3 micrometers on flat substrates by using wet developable resists. However, due to higher reflectivity at this wavelength, interference effects are enhanced and CD variations have been observed on topography. Different approaches can be used to decrease these effects. In this paper we propose to study two processes related to this problem: (1) a dyed monolayer wet developable resist, and (2) a bilayer system, including a dry developable organic antireflective coating. Several dyed versions of XP 89131 resist from Shipley were studied first, on flat substrates and then on wafers with topography. On flat substrates, the influence of different parameters, such as resist absorption, solubility, bake temperatures, and development time for a given exposure dose on CD, slope, and process latitude have been quantified for 0.3 and 0.35 micrometers . The resist profile modification on reflective topography is discussed. In the case of a bilayer system, a deep UV transparent layer can be used as the top layer (XP 89131). The bottom antireflective (ARC) layer is the resist XP 91218 from Shipley. Dry anisotropic etching of this ARC has been studied by screening of plasma parameters (gas pressure, ion energy, gas type) in various etching equipments (DECR and RIE).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes how absolute thickness data obtained from a track development rate monitor (TDRM) can be used to quantify resist dissolution in a very accurate manner. There is a demonstration of how bulk dissolution rate, surface inhibition, and post exposure bake (PEB) effects can be characterized. An empirical surface inhibition model is derived and accompanied by a description of how the required input parameters can be extracted from TDRM output. Three different development processes (immersion, continuous spray, and puddle) are fully characterized for two separate resist systems and the observed similarities and differences are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The work reported here is concerned with using a chemically amplified, positive tone, alkaline developable photoresist for patterning 0.3 - 0.5 (mu) features by exposing with monochromatic light at (lambda) equals 248 nm. More specifically, this class of materials employs tertiarybutoxycarbonyl (t-BOC) protected polyhydroxystyrenesulfone polymer and typically a nitrobenzyl ester photo acid generator, usually referred to as the CAMP resist. Since the lithographic performance of these materials has been already reported, the emphasis of this work falls on the pattern transfer and especially the dry etching/resist stripping steps. As a matter of reference, only an example of the lithographic performance is shown, indicating the starting point for the plasma etching work.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
During the last few years, silylation processes have been extensively studied. In particular, the mechanisms of silicon incorporation in the resist have been discussed. However, the importance of the dry etching step, which allows the image transfer in the resist, has often been neglected. In this paper it is shown that under standard dry etching conditions, the slight increase in the substrate temperature leads to the liquefaction of the silylated area which then flows down onto the sidewalls of the patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The temperatures that wafers reach in plasma etching processes result from a balance of several factors including energetic particle bombardment heating, exothermic chemical reactions, radiative cooling, and gas phase viscous and molecular cooling. The radiative cooling depends on the emittance of the particular wafers and can vary considerably from wafer to wafer. As a result, the heating rate of and peak temperatures reached by different wafers can vary in the identical plasma etching process. This can lead to variations in the etch rates and reticulation thresholds of resists coated onto those wafers. A simple means of estimating the emittance of a silicon wafer below 200 degree(s)C could improve our ability to predict the peak temperatures that wafers reach in plasma etching processes. This could improve the reproducibility of resist etch rate and reticulation threshold measurements in plasma environments. Much of the energy radiated by a black or gray body in the range between room temperature and 200 degree(s)C is in the wavelength range scanned by commercially available FTIR spectrometers. Consequently, the magnitudes of transmitted and reflected IR signals provide an indication of IR absorption by silicon wafers and therefore of the emittance of the wafers in the wavelength range of interest for radiative cooling in plasma etching processes. In this paper we present resist etch rate and reticulation threshold measurements on wafers with differing FTIR transmission and reflection spectra. The data show that variations in etch rates and reticulation thresholds do correlate with differences in the wafers' IR transmittance and reflectance, suggesting that the wafers' peak temperatures also correlate with the FTIR spectra.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Triarylsulfonium salts have been widely used as acid photogenerators in chemically amplified photoresists. A technique was developed for measuring the amount of acid which is generated on irradiation of polymer films containing sulfonium salts and the results of these measurements were used to obtain an understanding of the importance of acid chemistry on the lithographic performance of acid-catalyzed resists. The results from both electron beam and ultraviolet irradiations suggest that polarity effects are very important factors in determining the efficiency of acid generation. The effect of sulfonium salt loading percentage on acid generating efficiency was also studied. Thermal analysis of polymer films containing sulfonium salts has shown greater plasticization effects for sulfonium salts in polar polymer films indicating that the interaction between sulfonium salts and polymers is better for polar polymers. ESR experiments have determined the nature of the radicals which are produced following irradiation and these results suggest a mechanistic understanding of the polymer structural effects. In the case of acid-sensitive polymers, it has been possible to determine the extent of acid-catalyzed chemistry which, in turn, provides a means of estimating the acid diffusion range in this polymer film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, the dispersion of triphenylsulfonium metal-fluoride salts in polymer films modeling chemically amplified resist systems has been characterized by solid-state 19F multiple-quantum nuclear magnetic resonance (MQ-NMR). Previously, this technique has been used to characterize 1H distributions on a length scale of approximately 20 angstroms in various materials. In agreement with differential scanning calorimetry, metal- fluoride salts were shown to be immiscible with the nonpolar polymers, poly-n- butylmethacrylate (PnBMA) and poly-isobutylmethacrylate (PiBMA), with no indication of individual salt molecules solubilized within the polymer matrix. Metal-fluoride salts in poly- methylmethacrylate (PMMA) were observed to be dispersed on a molecular scale even at a salt loading of 20% wt/wt. Although observed by electron microscopy, evidence of larger aggregates is absent in the MQ-NMR data of the salt/PMMA films, indicating that these aggregates represent a small fraction of the total salt in these films. In addition, unlike electron microscopy, MQ-NMR is nondestructive with respect to the photosensitive salt and polymers comprising resist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the processing of chemically amplified resist systems, two `dose' parameters must be considered. The exposure dose dictates the amount of photoacid generated, and the thermal dose that is administered during the post-exposure bake (PEB) governs the extent to which the resin is chemically transformed by the acid. An Arrhenius relationship exists between these two dose variables, and the magnitude of the effective activation energy determines the degree of PEB temperature control required for a particular linewidth budget. PEB characteristics are presented for a chemically amplified positive-tone DUV resist used by IBM in the manufacture of 0.5 micrometers 16 Mb DRAMs. The effect of PEB temperature and time on resist sensitivity, contrast, resolution, and process latitude is presented. The influence of exposure and thermal dose on the chemical contamination effect is also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper is related to a three component chemically amplified positive-acting resist system for electron-beam lithography. The present resist system consists of an acid generator, novolak matrix, and dissolution inhibitor. The novelty of the resist system lies in the dissolution inhibitor; namely, tert.-butoxycarbonyl blocked o-cresolphthalein. The dissolution inhibitor converts into an effectual dissolution promoter by processing after irradiation, while it possesses a high dissolution inhibition capability. This attribute of the dissolution inhibitor resulted in a much improved pattern profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
E-beam/x-ray resist AZ-PN is relatively insensitive to process delay times of up to 24 h within the experimental error. Beyond this, deviation from the designed pattern size occurs due to delay times especially between exposure and post exposure bake. In the present paper an attempt has been made to evaluate the influence of humidity, prebake conditions, and overcoat materials on the sensitivity of AZ-PN to identify the reasons for the delay time (exposure - PEB) effect on the image transfer. Acidic overcoat material was used to eliminate the scavenging effect of basic impurities present in the clean room environment and prebake conditions were varied to change the residual solvent content of the resist film. It was observed that among the factors studied, humidity or moisture played a significant role. For instance, when the wafers were stored at 86% humidity the sensitivity (at 50% normalized film thickness) decreased from 7 (mu) C/cm2 to 13 and 19 (mu) C/cm2 for 48 and 96 h, respectively, while the decrease was only from 7 (mu) C/cm2 to 9 (mu) C/cm2 at 46% humidity for a delay time of 48 h. Under identical delay times, the higher the humidity, the higher the decrease in sensitivity. Use of overcoat materials decreased the overall sensitivity of the resist but did not influence the delay time behavior. Similarly, an increase in the prebake temperature from 80 to 100 degree(s)C or performing the prebake under vacuum at 90 degree(s)C for 30 minutes had no effect on the contrast curves. It was inferred from the results that if humidity is controlled, process delay time latitude of AZ-PN can be further improved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed X123, a chemically amplifying negative resist, for KrF excimer-laser lithography. X123 mainly consists of a highly transparent polyvinylphenol derivative, purified hexamethoxymethylmelamine, and a photo acid generator. Resist performance was improved by refinement of chemicals, a quantitative study of the components, and a study of processing conditions. The resolution of X123 is 0.25 micrometers under optimized processing conditions without phase shift masks. Its depth-of-focus is 1.0 micrometers for 0.30 micrometers line-and-space (L&S) patterns and 1.5 micrometers for 0.35 micrometers L&S patterns. Other process latitudes are also wide. X123 shows promise for future device fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced negative photoresists for both KrF-excimer laser lithography (DN 21) and deep-UV broadband irradiation (DN 41) have been investigated. The materials are based on the well established chemistry of an acid-sensitive melamine derivative as the crosslinking species, a phenolic polymer containing 3-methyl-4-hydroxystyrene and 4-hydroxystyrene units, and an efficient photoacid generator. This paper briefly reviews the chemistry of DN 21 and DN 41 and concentrates on some selected lithographic performance parameters. The effects of various process conditions on resist performance have been examined, especially with respect to photosensitivity, contrast, and resist profiles. DN 21/DN 41 show an excellent delay time behavior between exposure and post exposure bake and are insensitive to environmental contaminants. An optimized process gives a resolution of 0.30 micron lines and spaces using a KrF-excimer laser stepper. A good linearity down to 0.35 micrometers and depth of focus values of clearly above 1.0 micron for 0.36 micrometers lines and spaces is shown. Other important factors for the lithographic performance, namely the 3-methyl-4-hydroxystyrene/4- hydroxystyrene ratio, the polymer/crosslinker ratio, and the concentration of photoacid generator is discussed as well. In particular, metal-ion and surfactant free standard developers yield highly vertical resist sidewalls without any scumming, bridging effects or residues between the resist lines. Dry etch properties of the material are found to be equal to conventional positive systems, while the thermal stability is superior.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report here the initial lithographic evaluation of AZ DN-21, a commercial, negative tone, aqueous alkali developable, chemically amplified resist. The resist was exposed with 248 nm light from a KrF laser on a Canon deep UV stepper with NA 0.37. Feature sizes down to 0.35 microns were printed with good focus and exposure latitude. The resist profiles are nearly vertical with a slight undercutting at the bottom of the feature and a slight rounding of the top. We also report some initial results from a study of the effects of delays in resist processing. For a given dose, delays in the processing increased the measured linewidths. Results from a calculation of the effective activation energy for crosslinking are also presented. For the PEB temperatures investigated, the effective energy was found to be a function of the PEB temperature.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mack recently proposed a new kinetically based 5-parameter model for positive photoresist bulk dissolution. The present work tests its physical assumptions by comparing its predictions with DRM measurements of seven different PAC/novolak formulations, each at several different PAC loadings. Although substantial qualitative agreement between the predictions and experiments is seen, quantitative agreement is poor. The most likely explanations for the quantitative difficulties are: (1) the assumptions that inhibition by the PAC and enhancement by the photo-acid can be treated independently and multiplicatively, apparently fail, (2) side reactions and intermediates are neglected by the model, and (3) complex PAC isomeric distributions and associated complex inhibition/enhancement effects are also neglected. The dissolution rate equation derived by the model does exhibit excellent flexibility in fitting actual bulk dissolution rate curves. This reason alone is sufficient to recommend its inclusion in commonly used simulation programs such as PROLITH/2 and SAMPLE. the present work shows that significant errors can result in simulations by using simple dissolution rate equation which are unable to accurately describe observed bulk dissolution data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dissolution behavior of films comprising novolak polymers and oligomers having defined structural characteristics has been investigated by means of near-real-time UV and FT-IR spectroscopic measurements. The observed results are correlated with the behavior of practical resists and the solution chemistry of related model compounds in order to provide a better understanding of the mechanism of dissolution inhibition with emphasis on `secondary' reactions occurring during the development process. In particular, evidence is presented for the formation of a stable TMA+/novolate- complex which may influence the dissolution inhibition dependency of the novolak microstructure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The naphthoquinonediazide (NQD) proximity and the hydrophobicity of a variety of trifunctional NQD-PACs (photoactive compounds) were quantified and the relationship between them and some resist dissolution characteristics was investigated. The influence of novolak resin structure on the relationship was also examined. The average distances (L) between the NQD groups in PAC molecules were estimated by means of a MO calculation, and the retention time (RT) in HPLC measurement was used as a measure of the hydrophobicity of the PAC. A new index, LxRT, was found to be linearly correlated to the dissolution inhibition. The linear relationship indicates that the NQD proximity and the hydrophobicity are dominant factors for the dissolution inhibition among many PAC structural factors. A kinetic study for the dissolution of the samples containing an NQD-PAC or some model inhibitors having no NQD moiety strongly suggested the participation of an alkaline catalyzed coupling reaction between the resin and the PAC in the inhibition mechanism. Based on the above findings, a new comprehensive dissolution mechanism is proposed and the molecular design for a high performance resist is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of surfactants in today's society ranges over a wide variety of technologies, from soaps and detergents to house paints and electronic materials. In the semiconductor industry, surfactants are commonly used as coating additives in photoresists, as additives in wet chemical etchants, as additives in developer solutions, and in other areas where surface activity is desirable. In most applications, the mechanisms of surfactant chemistry are well established, yet there has been only a limited amount of published literature pertaining to characterizing the behavior of surfactants in developer systems for photoresists. This project explores the application of surfactants in an aqueous tetramethyl ammonium hydroxide (TMAH) based developer for two optical resists, one incorporating a 2,1,4- diazonaphthoquinone (DNQ) sensitizer, while the other incorporates a 2,1,5-DNQ sensitizer. In addition, each optical resist is based on different positive novolac resins with distinct structural properties. This feature aids in illustrating the improtance of matching the developer surfactant with the photoresist resin structure. Four distinct non-ionic surfactants with well published physical and chemical properties are examined. Properties of the surfactants explored include differences in structure, surfactant concentration, various degrees of hydrophilic versus lipophilic content (known as the HLB, or hydrophilic - lipophilic balance), and the differences in reported critical micelle concentration (CMC). Previous research investigated the performance characteristics of the 2,1,5-DNQ for these four surfactants. This investigation is an extension of the previous project by next considering a significantly different photoresist. A discussion of potential mechanisms of the solubilization and wetting effects is utilized to promote an understanding of surfactant effects in resist/developer systems. Also, because of the extensive characterization involved in screening surfactants, a recommended selection and screening scheme is proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In PROMOTE processing of novolac-diazonaphtoquinone (DNQ) resists, a deep UV flood exposure is given under water-free conditions during the post exposure bake. When exposure is done under water-free conditions DNQ forms an ester with the novolac, which is insoluble in TMAH. Due to the high absorption of the resist for the DUV flood exposure wavelength, ester is mainly formed in the top of the formerly unexposed regions. With DRM measurements it is shown that PROMOTE can enhance both development contrast and, due to the ester gradient in the depth of the resist, profile slopes. Therefore, dyed resist processing benefits more from PROMOTE than transparent resist, especially when degradation of the top of the resist profiles is the limiting factor, i.e., near the resolution limit of the stepper/resist combination, PROMOTE is advantageous. When the development process is left unchanged, the improvement with PROMOTE is attained at the expense of a higher imaging dose. An alternative for a higher imaging dose is a more aggressive development process, which can be achieved by a longer development time or a higher normality developer. The best results with PROMOTE are obtained when a more aggressive development process is used.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several etching tools were evaluated for the oxygen-based plasma pattern transfer step in surface imaging and multilayer resist processes. These tools include a conventional parallel- plate reactive ion etcher, a magnetically enhanced reactive ion etcher, an electron cyclotron resonance reactor, and a Helicon (rf helical resonator) reactor. The performance of each tool was examined with respect to etch rate, etch profile, selectivity between the imaging layer and the pattern transfer layer, etch uniformity, etching residue, linewidth uniformity, and process latitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The paper deals with the in-situ spectrophotometric study of the silylation in order to assess possible mechanisms. The results are used for proving that several pathways can be used for obtaining the sharp concentration front of silicon, a characteristic feature of Case II diffusion. A short review of up-to-date papers concerning the possible mechanisms of diffusion in polymers and their modeling is presented. The results of IR spectrophotometric studies prove that the most important feature observed during silylation, i.e., the linear relationship between silicon uptake and the square root of time, and the steep profile of the silicon concentration front, can be explained in the frame of the classical theory of mass transfer accompanied by instantaneous chemical reactions. A critical discussion regarding the possible relationships for the diffusion coefficient of the silylating agent is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a new quarter-micron lithography technology. This technology is characterized as a KrF excimer laser lithography combined with silylation process. We found that the high potential of resolution improvement with KrF excimer laser lithography can be enhanced using the surface imaging method. In this paper, the optimization and characteristics of silylation process are discussed and the hyper fine patterns of quarter-micron are shown using our novel technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One:one alternating poly(p-trimethylgermylstyrene sulfone)s were obtained by tert- butylhydroperoxide initiated copolymerization of p-trimethylgermylstyrene with sulfur dioxide (SO2) at T < -60 degree(s)C. The molecular weight and polydispersity of the polysulfones were in the range of Mn equals 0.5 approximately 20 X 106 and Mw/Mn equals 1.8 approximately 5, respectively. The temperature of initial decomposition in nitrogen was 196 degree(s)C. Maximum UV absorption ((lambda) max) of the polysulfone was at 228 nm ((epsilon) max equals 16740 1/molcm of monomer unit). The polysulfone was found to be positive acting resists sensitive to x-ray ((lambda) c equals 18.5 angstrom) and electron beam.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A systematic study of acid-catalyzed resist formulations was used to investigate the mechanism for resist behavior in a 193 nm silylation process. Sensitivities for these resists are higher than that of base resins even when processed without their normal post-exposure bake. To investigate this, resist formulations with different combinations of the constituent components of typical acid-catalyzed resists were evaluated. Both liquid-phase and vapor-phase silylation processes were employed and a range of post-exposure bake temperatures between 100 and 140 degree(s)C were used. The improved sensitivity for the acid-catalyzed resists is not due to heating during the vapor-phase silylation process or during the laser pulse. Instead, evidence was found for a direct crosslinking reaction between phenolic resin groups in the presence of acid without a melamine additive.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced Resist Materials/Process Modeling and Characterization
Lithography for via holes in polyimide is conventionally restricted by the need for a thick masking resist due to poor plasma selectivity during pattern transfer. Two novel techniques for via hole definition are described. The first is a single layer masking process using a silicon containing resist, which presents high resistance to oxygen plasma, while the other is a `hard' masking process using spin-on-glass. Processing and characterization for each technique is described and compared with the standard process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the use of statistical design experimentation to improve the photoresist performance properties of Dynachem's Nova 2070. A full factorial design was employed to investigate the effects of changes in the weight percent of both the minor resin and sensitizer in the total solids and of changes in the major resin's molecular weight on the after-hardbake wall profiles. The effect of the formulation changes on lithographic properties such as process latitude and resolution has also been measured. Scanning electron micrographs (SEMs) were generated to measure wall profile, thermal, and lithographic properties. A SEM measurement technique was then developed to quantify resist thermal stability. From these measurements models were generated to show the effects of the various formulation changes and to make predictions with respect to optimum formulations. Graphs of profile tendencies as a function of formulation changes and hardbake temperature and response surfaces generated from the various models are presented to help illustrate the optimization trends. With respect to lithographic performance, the experimental and model data indicate that the optimum resist formulation within the tested experimental matrix has the following make-up: high major resin molecular weight, low minor resin content, and high sensitizer content. With respect to thermal stability, the data suggests that the optimum resist formulation is the following: high major resin molecular weight, high minor resin content, and low to medium sensitizer content. The lithographic property optimum formula was retested to optimize its performance as a function of process changes according to a quadratic statistical design. Comparative process latitude graphs contrasting the optimum formula to alternative formulas under their respective optimized process conditions are also presented. These studies are collectively analyzed to indicate the direction that future resist formulation changes could be made to further optimize resist performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Three-dimensional lithographic patterns can be obtained using a new two component UV sensitive polymer system. The light-sensitive material consists of a chlorine containing conventional polymer, i.e., poly(chloroacrylonitrile) as a typical acceptor, and a heterocyclic or aromatic monomer as the donor, here pyrrole. This two component precomposite can be irradiated by either an excimer laser or the radiation of a high pressure mercury lamp. The resulting image, essentially a black and white pattern, can be developed in wet or dry (ion etching, ablation) modes giving resist patterns with a resolution in the micrometer range.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The pore size of membrane filter used in the filtering of photoresist for ULSI manufacturing is now shifting from 200 nm to 100 nm, but the photoresist end-users are asking for a much finer filter pore size. By knowing the size of its polymer it is possible to know the limit of the pore size required to filter the photoresist. From the results of ultra filtration and measurement of light scattering of the photoresist, the limitation of the filter pore size for the photoresist filtration and the detectable particle size in the photoresist were determined. In the ultra filtration of OFPR-800 (positive photoresist of Tokyo Ohka Kogyo Co., Ltd.) molecules larger than 13.5 nm in the original solution have been removed using a 13.5 nm filter. The maximum particle size of OFPR-800 novolak resin molecules measured by dynamic light scattering spectrophotometer was 32 nm and the average particle size was 5.4 nm. Furthermore, particles were observed larger than 32 nm. Because a positive photoresist is a highly concentrated polymer solution of 20 - 30 wt% it has been determined that those particles larger than 32 nm are large polymer groups similar to macro-molecules resulting from the intertwisting of novolak resin. It has been determined that the limit of the filter pore size that can filter a positive photoresist is 50 nm and the detectable particle size is 100 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An extensive representation of lithographic processes should not be limited to the description of chemical modifications, but should also take into account the variations in physical properties of the film. The origin of these possible variations are shown to lie in the specific film formation technique used in microlithography, namely spin-coating. Deposited from the same solution, layers of different densities exhibiting different properties, can be obtained under various process conditions. The main performance criteria, i.e., absorption, energy-to- clear, contrast, and resolution are investigated as a function of these density variations. The results obtained clearly show that variations in film properties with process conditions cannot only be explained by chemical modifications of the lithographic material. This study tries to point out and quantify, in addition to chemical reactions, the importance of physical properties in lithographic processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we describe the results of experiments performed using wafers having either phosphorous (POCl3) doped polysilicon, LPCVD silicon nitride, LPCVD silicon dioxide, LPCVD silicon dioxide over POCl3 doped polysilicon, evaporated aluminum, or CVD tungsten thin films, patterned with and without the use of deep UV anti-reflective coatings. The parameters of reflectance control, critical dimension control, focus/exposure latitude, and resist profiles were studied for line/space gratings and contacts. Incorporation of anti-reflective coatings was shown to be very beneficial for reducing the impact of highly reflective substrates, grainy surfaces, and topographical features encountered during deep UV imaging. The ARC process is independent of the substrate's reflectivity, allowing the same exposure dose for all substrates studied. Without ARC the optimum exposure dose for the same substrates varied over a 35% range. ARC also provides slightly increased exposure and focus windows for some substrates, and was shown to significantly improve linewidth control on rough substrates such as POCl3 doped polysilicon and tungsten. The grainy surface of the tungsten wafers was nearly impossible to pattern without the use of an anti-reflective coating; without ARC, there was virtually no process window (approximately 2 mJ/cm2) for retention of 0.50 micrometers features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes novel diazonaphthoquinone-sensitized deep UV resist materials and a process for improving the pattern profile thereof; namely, incorporation of an alkaline treatment subsequent to the exposure in the processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resist process control in high resolution lithography can be achieved by understanding the exposure and development characteristics of the resist. This paper presents a method to estimate the expected resist profile in electron beam lithography using characteristics of the developing process such as average develop rate and successive layers dry etching rate. Plotting the average develop rate versus the exposure doses are obtained curves with specific shape, which present the resist response for given experimental conditions. This response for positive electron resists could be very near to the optimum curve expressed with so called "threshold" develop rate. For negative electron resist and electron exposed positive photoresist an optimum resist response could be obtained using stepwise exposure. The variation of the oxygen plasma etching rate into the depth of the electron exposed negative resist showed the dependance on the exposure doses and different ways to receive dose. The top edge of the resist is considerably more stable with respect to variation in dose and the way to receive it than the bottom edge. The biggest change in etching rate is seen below 20% remaining resist thickness. The experimental results suggest an idea for the repeatability of the expected resist profile after the exposure with different doses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extremely high quality vertical structures, suitable for integrated optical components, have been fabricated in GaAs using electron beam lithography and chemically assisted ion beam etching. By using the directly exposed electron beam resist as etch-mask, all quality degrading intermediate pattern transfers were eliminated, resulting in high resolution and reproducibility. In order to obtain a vertical mask profile and high durability, pattern-corrected exposure and heat treatment of the electron beam resist was employed. The pattern correction of the resist exposure was studied using an exposure simulation program. The surface roughness of the etched facets was estimated from several SEM micrographs to be less than 20 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of i-line lithography for the 16 to 64 Mbit DRAM device generations calls for increased performance of i-line resists. This paper reports on investigations on novel sensitizers for advanced i-line lithography, starting out with a discussion of general design criteria, then discussing methodology and results of a screening phase, and examining in greater detail a small number of selected candidates for which resolution, exposure latitude, and depth-of-focus data were obtained. Finally, a new advanced resist for i-line lithography, AZR 7500, is presented, and its performance is evaluated in terms of the above criteria as well as thermal flow resistance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The nature and degree of substitution patterns on different ring carbons in novolak (cresol- formaldehyde) resins have been determined by carbon-13 NMR spectroscopy. The acquisition of carbon-13 NMR spectra of novolak resins yielded improved S/N ratio through selective irradiation of protonated carbons while suppressing quaternary carbons. For quantitative estimations, carbon nuclear spin-relaxation rates were measured to ensure that carbon nuclei of interest fully relax after each pulse train. NMR signals from quaternary carbons in the aromatic region have been selectively suppressed employing distortionless enhancement via polarization transfer (DEPT) pulse sequence, thus greatly improving the quantitative estimations. An experimental parameter, Un, where n is the carbon position in the ring, has been defined for chain propagation at any given carbon position. For example, in a pure meta cresol novolak resin, polymerization mainly proceeds via 4,6-disubstitution, and the C2 ortho carbons do not seem to participate in the polymerization process as much as C4 and C6 carbons do. However, in novolak resins prepared by mixing meta and para cresols, the chain propagation step greatly involves C2 carbons ortho to the hydroxyl group in meta cresol. The extent of such involvement can easily be determined relative to a given standard sample. The polymerization preference and differences in chemical structure of various polymers can easily be compared by determining their respective Un parameters. The bridged methylene linkages yield weaker NMR signals compared to those in the pure meta or para cresol resins, and thus, the estimations based on these carbons are only approximate. The experimental parameters as defined in this paper are discussed in relation to the physical and lithographic properties of these polymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.