In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.
Ruthenium (Ru) film used as capping layer in extreme ultraviolet (EUV) mask peeled off after annealing and in-situ UV (IUV) cleaning. We investigated Ru peeling and found out that the mechanical stress caused by the formation of Si oxide due to the penetration of oxygen atoms from ambient or cleaning media to top-Si of ML is the root cause for the problem. To support our experimental results, we developed a numerical model of finite element method (FEM) using commercial software (ABAQUS™) to calculate the stress and displacement forced on the capping layer. By using this model, we could observe that the displacement agrees well with the actual results measured from the transmission electron microscopy (TEM) image. Using the ion beam deposition (IBD) tool at SEMATECH, we developed four new types of alternative capping materials (RuA, RuB, B4C, B4C-buffered Ru). The durability of each new alternative capping layer observed by experiment was better than that of conventional Ru. The stress and displacement calculated from each new alternative capping layer, using modeling, also agreed well with the experimental results. A new EUV mask structure is proposed, inserting a layer of B4C (B4C-buffered Ru) at the interface between the capping layer (Ru) and the top-Si layer. The modeling results showed that the maximum displacement and bending stress observed from the B4C-buffered Ru are significantly lower than that of single capping layer cases. The durability investigated from the experiment also showed that the B4C-buffered structure is at least 3X stronger than that of conventional Ru.
The Critical Dimension (CD) uniformity due to the defect on the Extreme-Ultraviolet (EUV) pellicle is reported. Based on computational simulation of the aerial images for different defect size on the wafer, it is found that the size of the defect should be smaller than 2 μm for the CD uniformity of 0.1 nm. The aerial image for the different defect materials, sulfur and ruthenium, are also simulated showing that the CD uniformity does not have a noticeable dependence on the different defect materials. However, the CD uniformity is worsened with the mesh structure due to its shadow and the much smaller defects size, less than 2 μm, can be allowed.
Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor‟s requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by
introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300
experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.
In EUV Lithography, an absence of promising candidate of EUV pellicle demands new requirements of EUV mask cleaning which satisfy the cleaning durability and removal efficiency of the various contaminations from accumulated EUV exposure. It is known that the cleaning with UV radiation is effective method of variety of contaminants from surface, while it reduces durability of Ru capping layer. To meet the expectation of EUV mask lifetime, it is essential to understand the mechanism of Ru damage. In this paper, we investigate dominant source of Ru damage using cleaning method with UV radiation. Based on the mechanism, we investigate several candidates of capping to increase the tolerance from the cycled UV cleaning. In addition, we study durability difference depending on the deposition method of Ru capping. From these studies, it enables to suggest proper capping material, stack and cleaning process.
We report the effect of the mesh support for the EUV pellicle on the wafer pattern image. The intensity distribution passing through the meshed pellicle was simulated with a partially coherent EUV beam showing that its non-uniformity and the CD uniformity are increased with the mesh width. In order to reduce a non-uniformity of the intensity distribution and CD uniformity, the mesh width should be narrower and the height becomes smaller as well. Thus, the image deformation on the wafer due to the mesh can be avoided by optimizing the mesh structure and thus the pellicle with the mesh support can be used for the EUV lithography.
Over the past several years, people have accomplished a great deal of developing the Extreme-ultraviolet lithography
(EUVL) technologies. However, several problems which disturb the mass-production of EUVL still remain. One of the
problems is the defect control. In order to protect the mask from defect, the usage of the pellicle is essential. However the
transmission loss caused by contamination can lead to the pattern error. Therefore it is necessary to find the acceptable
thickness of the contamination layer that would cause the image error. The protection ability of the pellicle in terms of
critical dimension variation is studied. Our study indicated that the process latitude difference is small enough to ignore
whether the pellicle is used or not. In addition the protection ability of pellicle is good against the case of conformal
contamination in terms of CD variation.
We describe SEMATECH’s recent defect printability work categorizing native phase defects by type and dimension
using a NXE3100 EUV scanner and DPS (Defect Printability Simulator) software developed by Luminescent
Technologies. Since the critical dimension (CD) error on a wafer simulated by the DPS is strongly affected by the
multilayer (ML) geometry, it was very important to select the optimal multilayer (ML) growth model for each defect. By
investigating the CD results obtained from 27 nm HP node imaging on NXE3100 and comparing those with simulation
results, it was clear that reconstructed ML geometry generated by the AFM measurement showed much better simulation
accuracy than conformal ML geometry. In order to find a typical ML growth model to predict the best ML geometry for
a given dimension and height of defect, we calibrated a general ML growth model with AFM data and obtained ML
growth model parameters. Using the fitted ML geometry generated from ML growth model parameters, CD error for 22
nm HP node was simulated and the result showed that conformal ML geometry is good for 24 nm defect simulation
while not appropriate for 36 nm defect simulation.
In EUVL, major impacts on determining critical dimension (CD) are resist process, scanner finger print, and mask characteristics. Especially, reflective optics and its oblique incidence of light bring a number of restrictions in mask aspect. In this paper, we will present one of the main contributors for wafer CD performance, such as center wavelength (CW) of multilayer (ML) in EUVL mask. We evaluate wafer CDs in 27.5nmHP L/S, 30nmHP L/S, and 30nmHP contact patterns with NXE3100 by using masks with purposely off-targeted CW ranging from 13.4 to 13.7nm. Based on the results from the exposure experiments, we verify that the CW specification for NXE3100 is regarded as 13.53 ± 0.015nm at CWU=0.03nm to satisfy the wafer CD requirements. According to verified simulations, however, we suggest a new CW specification for NXE3300 with higher values considering wide illumination cone angle from larger numerical aperture (0.33NA). Moreover, simulations in different exposure conditions of NXE3300 with various patterns below 20nm node show that customized CW specification might be required depending on target layers and illumination conditions. We note that it is also important to adjust CW and CWU in final mask product considering realistic difficulties of fabrcation, resulting in universal CW specification.
In this work, we use a high accuracy synchrotron-based reflectometer to experimentally determine the effects of angular bandwidth limitations on high NA EUV performance. We characterized mask blank and mask pattern diffraction performance as a function of illumination angle, scatter angle, and wavelength. A variety of pattern feature sizes ranging down to coded sizes of 11 nm (44 nm on the mask) are considered. A Rigorous Coupled-Wave Analysis (RCWA) model is calibrated against the experimental data to enable future model-based performance predictions. The model is optimized against the clearfield data and verified by predicting the mask pattern diffraction data. We thus have confirmed the degradation and asymmetry of diffraction orders at high AOI.
We describe the printability of native phase defects categorized by type and dimension using NXE3100 EUV scanner
and DPS (Defect Printability Simulator) software developed by Luminescent Technologies. The critical dimension (CD)
error on wafers simulated by the DPS is strongly affected by the geometry of the multilayer (ML) used as an input
parameter for simulation. This finding is supported by cross section images of the ML acquired from transmission
electron microscopy (TEM) showing that the diameter of the defect and geometry of the ML are closely related.
Accordingly, the selection of the type of ML geometry seems to be important in the accuracy of defect printability
simulation. The CD error simulated from the DPS using reconstructed ML geometry shows better correspondence with
that measured on a wafer than conformal or smoothed ML geometry. The DPS software shows good simulation
performance in predicting defect printability at 27nm HP node. This is verified by wafer printing and RCWA simulation.
Amplitude defects (or absorber defects), which are located in absorber patterns or multilayer surface, can be repaired
during mask process while phase defects (or multilayer defects) cannot. Hence, inspection and handling of both defects
should be separately progressed. Defect printability study of pattern defects is very essential since it provides criteria for
mask inspection and repair. Printed defects on the wafer kill cells and reduce the device yield in wafer processing, and
thus all the printable defects have to be inspected and repaired during the mask fabrication. In this study, pattern defect
printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments. For 3x nm hp nodes,
defect printability is evaluated by NXE3100. For 2x nm hp node, since resolution of a current EUV scanner is not
enough, SEMATECH-Berkeley actinic inspection tool (AIT) as well as micro-field exposure tool (MET) in LBNL are
utilized to verify it,. Furthermore those printability results are compared with EUV simulations. As a result, we define
size of defects to be controlled in each device node.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into high volume manufacturing. Recently both blank suppliers achieved 1-digit number of defects at 60nm in
size using their M1350s. In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the
printability of various defects on the blank using NXE 3100. Minimum printable blank defect size is 23nm in SEVD
using real blank defect. Current defect level on blank with Teron 61X Phasur has been up to 70 in 132 X 132mm2. More
defect reduction as well as advanced blank inspection tools to capture all printable defects should be prepared for HVM.
3.6X reduction of blank defects per year is required to achieve the requirement of HVM in the application of memory
device with EUVL. Furthermore, blank defect mitigation and compensational repair techniques during mask process
needs to be developed to achieve printable defect free on the wafer.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In
this paper, a full field EUV mask is fabricated to see the printability of various defects on the mask. Programmed pit
defect shows that minimum printable size of pits could be 17 nm of SEVD from the AIT. However 23.1nm in SEVD is
printable from the EUV ADT. Defect printability and identification of its source along from blank fabrication to mask
fabrication were studied using various inspection tools. Capture ratio of smallest printable defects was improved to 80%
using optimized stack of metrical on wafer and state-of-art wafer inspection tool. Requirement of defect mitigation
technology using fiducial mark are defined.
Photo-induced defect for optic mask mainly depends on the surface residual ions coming from cleaning process, pellicle
outgassing, or storage environments. Similar defect for EUV mask triggered by accumulated photon energy during
photolithography process has drawn interest recently but this defect is somewhat different from normal photo-induced
defect for optic mask. The photo-induced defect for EUV mask is known to be created by the chemical deposition of
Carbon atoms originating from cracking of hydrocarbons by EUV light and secondary electrons on capping layer.
It is very likely that Carbon contamination would be dominant under normal EUV exposure condition. On the other
hand, it is expected that another kind of photo-induced defects would rise to surface under controlled environment where
Carbon contamination growth is severely suppressed. We may have to understand the behavior of surface residual ions
under EUV light in order to cope with another probable EUV photo-induced defect.
In this paper, we will investigate whether surface ions remaining after cleaning process like sulfate or ammonium ions
would create printable defects or decompose into evaporable species under EUV light. In case they create certain defects
on mask surface, their effect on EUV reflectivity and absorber pattern CD variation will be also examined. Finally,
improved cleaning process to impede photo-induced defect creation on EUV mask will be introduced.
Extreme Ultraviolet Lithography (EUVL) is one of the patterning technologies for the 22 nm node and below. Generally,
EUVL used a reflectivity type mask consist of absorber layer on a mask blank substrate coated with Mo/Si multilayer.
Especially, reflectivity from EUV mask multilayer could be one of the important factors to make EUV process to be
ready for 22 nm node. In spite of the developed technologies, the reported experimental reflectivity (60-66 %) is much
less than the theoretical reflectivity (73 %) from the perfect EUV mask multilayer because of the Mo/Si rough
boundaries and multilayer top surface roughness. The surface roughness that occurs in deposition of multilayer makes
the reflectivity loss. It seems that it might be difficult to reach the ideal reflectivity and 22 nm node process has to live up
with the imperfect reflectivity.
In this study, we focused on the influence of the surface roughness on the Mo/Si multilayer for 22 nm node. First we
studied the reflectivity loss for the multilayer surface roughness. The magnitudes of short, medium, and long range
roughness are compared in terms of the amplitude and phase non-uniformity because even 1 nm roughness can make
huge difference in EUV. The aerial image and process latitude with surface roughness are studied and the possibility of
22 nm node patterning with surface roughness will be reported.
Printability and inspectability of phase defects in EUVL mask originated from substrate pit were investigated. For
this purpose, PDMs with programmed pits on substrate were fabricated using different ML sources from several
suppliers. Simulations with 32-nm HP L/S show that substrate pits with below ~20 nm in depth would not be printed on
the wafer if they could be smoothed by ML process down to ~1 nm in depth on ML surface. Through the investigation of
inspectability for programmed pits, minimum pit sizes detected by KLA6xx, AIT, and M7360 depend on ML smoothing
performance. Furthermore, printability results for pit defects also correlate with smoothed pit sizes. AIT results for
patterned mask with 32-nm HP L/S represents that minimum printable size of pits could be ~28.3 nm of SEVD. In
addition, printability of pits became more printable as defocus moves to (-) directions. Consequently, printability of
phase defects strongly depends on their locations with respect to those of absorber patterns. This indicates that defect
compensation by pattern shift could be a key technique to realize zero printable phase defects in EUVL masks.
Thinner absorber structure in EUVL mask is supposed to be applied in 2x HP node since it shows several
advantages including H-V bias reduction. Here, lithographic performances of EUVL masks as a function of absorber
stack height are investigated using ADT exposure experiments. Wafer SEM images show that minimum resolution is
almost identical at ~27.5 nm with absorber thickness ranging from 45 to 70 nm. Simulations also exhibit that NILS and
contrast become maximized and saturated in those ranges. However, thinner absorber structure using 50-nm-thick
absorber shows much lower H-V bias than conventional structure using 70-nm-thick absorber. MEEF, EL, DOF, and
LWR are also slightly improved with thinner absorber. One of the noticeable issues in thin absorber is low OD which
results in pattern damages and CD reduction at shot edges due to light leakage from the neighboring exposures. To
overcome these issues, appropriate light shielding process during mask fabrication as well as minimizing OoB radiation
in EUVL scanner are required. Another item to prepare for 2x HP node is to increase defect detection sensitivity with
19x nm inspection tools. Thus, absorber stacks with new ARC layer optimized for 19x nm inspection should be
developed and applied in EUVL mask blanks.
The purpose of extreme ultraviolet (EUV) lithography is to make pattern size of sub-22 nm. However, there are
still some challenges to be overcome for EUV photoresist such as reducing the line edge roughness (LER) and
line width roughness. The roughness of conventional polymer resists is large because of large polymer size.
Thus many new molecular resists are studied and being developed in order to reduce roughness. To reduce LER
we analyzed the size and structure of each ingredient of the suggested molecular resists. The varied parameters
are the amount of photo acid generator, quencher and the size of the monomer. The protecting ratio of resin and
protected number of a molecule are also varied. Monte-Carlo simulation is used for ingredient dispersion and
acid diffusion direction to see the effect to LER. Solid-EUV is used to get the aerial image and photo generated
acid for 22 nm node and ChemOffice is used to analyze molecular structure and volume.
Extreme ultra-violet lithography (EUVL) has been prepared for next generation lithography for several years.
We could get sub-22 nm line and space (L/S) pattern using EUVL, but there are still some problems such as
roughness, sensitivity, and resolution. According to 2007 ITRS roadmap, line edge roughness (LER) has to be
below 1.9 nm to get a 22 nm node, but it is too difficult to control line width roughness (LWR) because line
width is determined by not only the post exposure bake (PEB) time, temperature and acid diffusion length, but
also the component and size of the resist. A new method is suggested to reduce the roughness. The surface
roughness can be smoothed by applying the resist reflow process (RRP) for the developed resist. We made resist
profile which has surface roughness by applying exposure, PEB and development process for line and space
pattern. The surface roughness is calculated by changing parameters such as the protected ratio of resin. The
PEB time is also varied. We compared difference between 1:1 L/S and 1:3 L/S pattern for 22 nm. Developed
resist baked above the glass transition temperature will flow and the surface will be smoothed. As a result, LER
and LWR will be much smaller after RRP. The result shows that the decreasing ratio of LER due to RRP is
larger when initial LER is large. We believe that current ~ 5 nm LWR can be smoothed to ~ 1 nm by using RRP
after develop.
Extreme ultraviolet lithography (EUVL) is believed to be possible patterning technology which can make 22 nm
and below. EUV uses a reflective mask so that the mask is shined with the oblique incident light. Thus, the study of
incident angle effect is very important. Currently, 6 degree oblique incidence is main stream, but 5 degree incident angle
is also studied for 0.25 NA. Incident angles larger than 6 degree are also considered for larger NA. This incident angle
will affect many things, eventually to the line width. Shadow effect also strongly depends on the incident angle. This
shadow effect in the EUVL mask is an important factor that decreases the contrast of the aerial image and causes a
directional problem, thus it will make line width variation. The off-axis illumination (OAI) will be used with
conventional on-axis illumination to make much smaller patterns. This OAI will split the main beam and change the
incident angle. We found that if the incident angle increased with higher degree of coherence, the aerial image went
worse. The CD difference between the horizontal and the vertical pattern is also dependent on the degree of coherence
even though it is small.
Phase-shifting EUVL masks applying thinner absorber are investigated to design optimum mask structure with less shadowing problems. Simulations using S-Litho show that H-V bias in Si capping structure is higher than that of Ru capping since the high n (= 0.999) of Si increases sensible absorber height. Phase differences obtained from the patterned masks using the EUV CSM are well-matched with the calculated values using the practical refractive index of absorber materials. Although the mask with 62.4-nm-thick absorber, among the in-house masks, shows the closest phase ΔΦ(= 176°) to the out-of-phase condition, higher NILS and contrast as well as lower H-V bias are obtained with 52.4-nm-thick absorber (ΔΦ = 151°) which has higher R/R0 ratio. MET results also show that lithography performances including MEEF, PW, and resist threshold (dose), are improved with thinner absorber structure. However, low OD in EUVL mask, especially in thinner absorber structure, results in light leakage from the neighboring exposure shots, and thus an appropriate light-shielding layer should be introduced.
Reduced design rules demand higher sensitivity of inspection, and thus small defects which did not affect printability
before require repair now. The trend is expected to be similar in extreme ultraviolet lithography (EUVL) which is a
promising candidate for sub 32 nm node devices due to high printing resolution. The appropriate repair tool for the small
defects is a nanomachining system. An area which remains to be studied is the nano-machining system performance
regarding repair of the defects without causing multilayer damage. Currently, nanomachining Z-depth controllability is 3
nm while the Ru-capping layer is 2.5 nm thick in a Buffer-less Ru-capped EUV mask. For this report, new repair
processes are studied in conjunction with the machining behavior of the different EUVL mask layers. Repair applications
to achieve the Edge Placement(EP) and Z-depth controllability for an optimal printability process window are discussed.
Repair feasibility was determined using a EUV micro exposure tool (MET) and Actinic Imaging Tool (AIT) to evaluate
repairs the 30 nm and 40 nm nodes. Finally, we will report the process margin of the repair through Slitho-EUVTM
simulation by controlling side wall angle, Z-depth, and EP (Edge Placement) on the base of 3-dimensional experimental
result.
Lifetime of EUVL masks which are intentionally contaminated with carbon is investigated by comparing Si and Ru
capping layer. Carbon deposition is observed not only on the multilayer, but also on the absorber sidewall of the mask.
Deposited carbon on the sidewall during EUV exposure gradually varies mask CD and also induces the changes in the
wafer printability and dose in the scanner. In addition, we compare the effects of carbon contamination between Si and
Ru capped blank. Ru capped blank shows longer mask mean time between cleaning (MTBC) than Si capped blank by 25% in our experiments.
Decreasing of node size significantly increases requirement to overlay precision. Complex structure of target demands
using of compound structures of overlay mark, which usually contain features with acute sidewall angles, coated by
several layers.
In this paper the possibility and limitations of image-based overlay with compound structures with overlay mark and
coating layers are analyzed in detail. Dependence of overlay signal shape on overlay offset is considered. Structures with
asymmetric sidewall angle, non-uniform thicknesses of layers and curved shape of layer borders are examined. Influence
of thickness variation, difference between left and right sidewall angles of asymmetric shape and curvature of layer
borders are investigated. For the simulation of such complex structures of overlay marks, our in-house simulator based
on rigorous coupled-wave analysis (RCWA) module is used. Maximum allowed values of these parameters are studied
in order to determine the limitations of image-based overlay.
Results of this consideration can be used for improvement of overlay precision and elaboration of optimal overlay
strategy in conditions of node shrinking in the semiconductor industry.
Simulation and experimental study results are reported to solve align/overlay problem in dark hard mask
process in lithography. For simulation part, an in-house simulator, which is based on rigorous coupled wave analysis and
Fourier optics method of high NA imaging, is used. According to the simulation and experiment study, image quality of
alignment and overlay marks can be optimized by choosing hard mask and sub-film thickness carefully for a given
process condition. In addition, it is important to keep the specification of film thickness uniformity within a certain limit.
Simulation results are confirmed by experiment using the state of art memory process in Samsung semiconductor R&D
facility.
We have fabricated extreme ultraviolet lithography (EUVL) blank masks consisting of a TaN absorber, Ru capping
layer, and Mo/Si multilayers using ion-beam sputter deposition and investigated their dependence on capping layer and
absorber stack structure. At EUV wavelengths, the reflectivities of the multilayers, including their dependency on the
thickness of the capping and absorber layers, are in good agreement with simulation results obtained using Maxwell
equations and the refractive indexes of each layer. Ru, one of the most promising capping materials on Mo/Si multilayers
due to its resistance to oxidation and selectivity to etching, also shows better EUV reflectivity than Si as a capping layer
if we choose a thickness that produces a constructive interference. To meet the reflectivity requirements (⩽ 0.5 %) in the
SEMI EUVL mask standard specifications, a TaN absorber at least 70 nm thick should be applied. However, aerial image
results simulated by using EM-Suite show that 40 nm is sufficient for the TaN absorber to display the maximum image
contrast. In addition, horizontal-vertical (HV) biasing effects due to mask shadowing become negligible if the TaN is
reduced to about 40 nm. As a result, we suggest using a thin TaN absorber 40 nm thick since it is able to minimize mask
shadowing effects without a loss of image contrast.
The impact of Stray Light (also sometimes called 'scattered light' or 'flare') in lithographic exposure tools is one of the key issues in EUV lithography to reduce its level to less than 10%. EUV mask can also be considered as one of the scattering sources because EUV mask used in memory and logic devices has various kinds of patterns with localized density variations, which are determined by patterned multilayer area. The most efficient way to decrease influences of stray light can be a combination of selective biasing and dummy implementation.
In this paper, the effect of EUV mask density variations on image quality is investigated in terms of process window, such as LWR, depth of focus, resolution, etc., while mask density surrounding features is varied from dark field to bright field by implementing dummy patterns. As a result, allowable mask background density, which does not affect image quality of surrounding features and decreases effects of stray light, is suggested.
As the on-wafer transistor sizes shrink, and gate nodes reduce below 90 nm, it is becoming very important to precisely
measure and control the critical dimension (CD) on the mask. Phase shift technology for masks is essential for
decreasing of the feature size, therefore CD and profile metrology on the phase shifting materials becomes critical.
Scatterometry provides fast and nondestructive method of profile and CD measurements.
In this paper the conditions of determining of profile and CD measurement are analyzed. In the real experiment scattered
spectrum from structure with unknown profile is measured. Before experiment the library of spectra is generated. Spectra
in the library correspond to structures with various parameters (such us thickness, CD, sidewall angle, etc.). For
calculation of this library rigorous coupled-wave analysis (RCWA) was used. This method allows us to get precise
solution of Maxwell equations and find directly amplitude of zero diffraction order which is measured in the experiment.
To determine the possibility of measurement of sidewall angle various spectra with different sidewall angle value were
calculated. Calculated spectrum is changed by adding or deduction of random value. The randomly changed spectrum is
compared with spectra in the library in order to find spectrum with best fit. Therefore sidewall angle and CD can be
determined. Precision, possibility and maximum allowed error in the spectra measurements is obtained. Moreover,
influence of polarization of incident light on precision of extracted results was found.
In the extreme ultra-violet (EUV) lithography, a defect-free mask blank is one of the critical issues for high volume manufacturing. The defects of EUV mask are typically classified into phase defects and amplitude defects. The phase defects, which may be originally included in substrate or generated during multilayer deposition, are not easily detected after the deposition of absorber and buffer films, since an inspection tool using the visible light shows strong absorption in this wavelength range. In addition, it is important to confirm the level of blank mask defects before e-beam writing in order to prevent yield drop of mask manufacturing. Confocal inspection method has been developed and widely used to inspect substrate and blank mask in current optical and EUV lithography. However, this method can only detect defects which are located on flat surface and buried near the surface. In this paper, both the confocal inspection and patterned mask inspection are simulated by rigorous analysis method and also experimentally determined. As a result, simulation shows good agreement with experiments, and thus the simulation tool can be applied to estimate detectability and printability of multilayer defects. For patterned mask inspection, mask near field images are calculated by the rigorous method. Then, aerial images of EUV mask in patterned mask inspection system are simulated and several properties are also investigated.
A theoretical model of confocal microscopy of phase defect in EUV mask blank is developed using Fourier optics and rigorous coupled-wave analysis(RCWA). This model is verified by comparison with experimental data and then applied to calculating confocal microscopy signal of phase defect with conformal and flat surface for wavelength of 488 nm and 266 nm, respectively. From this simulation, it is shown that phase defect with flat surface is undetectable even by 266 nm-wavelength confocal microscope, while it is printable at 13.5 nm-wavelength. Subsequent simulation of energy flow rate through Mo/Si multilayer shows that this is because incident optical wave is absorbed within 4 pairs of Mo/Si multilayer, but 40 pair of Mo/Si multilayer is semi-transparent at 13.5 nm-wavelength. Based on this result, a deposition scheme of Mo/Si multilayer is suggested.
Various pupil-fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS) of ASML, source metrology instrument (SMI) of Litel, Fresnel zone plate (FZP) of Philips, and non-telecentricity measurement technique using traditional overlay marks, which is based on an idea that pattern shift is proportional to the amount of defocus. Based on aerial image simulation with measured non-telecentricity, its effect on sub-70 nm device patterning is discussed. Experimental data shows that some of pupil-fills appear more than 70 milli-radian of source displacement error and it may cause serious pattern shift and/or asymmetry. Detailed descriptions of measurement techniques and experimental results are presented.
Simulation works are reported for the optimization of alignment mark geometries to enhance the magnitude of alignment signal and to improve precision of alignment and overlay process. Modeling of diffraction of electromagnetic wave on alignment mark is based on rigorous coupled-wave analysis (RCWA). This simulation allows calculating of overlay signal and optimizing of alignment mark. Using of RCWA approach significantly decreases computational time and required memory size comparing with FDTD. Overlay signal is usually measured using one of the diffraction orders. Thus the great advantage of using RCWA instead of FDTD is possibility to find amplitude of this diffracted order directly.
Rigorous coupled-wave analysis(RCWA) is applied to computing near-field of mask scattered by patterned absorber and defects buried in Mo/Si multilayer. Especially, a method of modeling phase defect for application of RCWA is provided, which transforms the multilayer structure deformed by defect into straight multilayer structure with inhomogeneous dielectric constant. This mask near-field is used to get the aerial image as well as mask inspection image of confocal microscope. Using these simulation methods, printability of both phase and amplitude defect are investigated over various size of defect. This study shows that the change in critical dimension(CD) of line and space pattern increases linearly with defect height of phase defect, while increases nonlinearly with that of amplitude defect. A modeling of confocal microscopy is also shown with an example of actinic inspection simulation.
Three dimensional image theory developed by Flagello et al is applied to the modeling of immersion lithography, which is characterized by the combination of vector image theory, Debye integral theorem, and the response of layered structure. Using this formulation, the behavior of contrast and DOF against numerical aperture(NA) are investigated, which are related with polarization and multilayer interference. It is shown that the contrast for TM wave decreases significantly with NA up to half of the contrast for TE wave when NA is 1.3. Noticeably, in this result, an inflection point is observed, which does not exist in the contrast curve of aqua image. We try to explain this phenomenon using the difference in the coupling property of TE and TM wave. In addition, DOF of immersion and dry lithography are compared to show that immersion lithography has larger DOF than dry lithography, and the ratio of DOF in immersion lithography to DOF in dry lithography is plotted to show that it increases considerably with NA, contrary to the result of Rayleigh’s equation, in which the ratio must be a constant.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print format on
SPIE.org.